跳到主要內容區

專任教師

  • 職稱
    教授
  • 姓名
    游竹
  • 電子郵件
  • 聯絡電話
    (03)9357400#7337
  • 授課領域
    DSP積體電路設計; FPGA設計與實習; 計算機輔助設計; 書報研讀; 校外實習; 處理器設計與實作; 超大型積體電路設計; 電子學; 電機資訊科技; 數位系統快速雛形設計; 數位系統快速雛型設計; 數位積體電路設計; 線性積體電路設計; 積體電路設計實驗; 積體電路設計導論; 類比積體電路設計; 邏輯設計; 邏輯設計實驗
  • 研究專長
    VLSI架構與系統設計; 影像處理; AI晶片與通訊基頻電路設計
學校 主修 學位 國別
國立台灣大學 電機所 博士 中華民國
國立台灣工業技術學院 工程技術所 電子工程技術學程 碩士 中華民國
國立台灣工業技術學院 電子工程系 學士 中華民國
服務機關 服務部門 職稱 起訖年月
國立宜蘭大學 電機資訊學院 院長 2020/02/01~
國立宜蘭大學 研究發展處 研發長 2017/12/10~2020/01/31
國立宜蘭大學 電機資訊學院 助理院長 2015/08/01~2017/07/31
國立宜蘭大學 電子工程學系(所) 主任 2009/08/01~2012/07/31
國立宜蘭大學 電子工程學系 助理教授 2000 年 08 月 至 2004 年 07 月
國立台北科技大學 電子工程糸 兼任講師 1997 年 08 月 至 1999 年 07 月
國立宜蘭技術學院 電子工程科 兼任講師 1996 年 08 月 至 1999 年 07 月
國立宜蘭農工專校 電子工程科 助教 1993 年 08 月 至 1996 年 02 月
類別 獲獎日期 名稱 國別 頒獎單位 獎助金額
校內 2016/12/21 教學卓越績優獎勵 中華民國 教學發展中心 80000
校內 2016/09/28 104學年度優良導師 臺灣 學生事務處
校內 2014/12/28 教學傑出教師獎勵 中華民國 教學發展中心 30000
實驗室名稱 實驗室網站連結
積體電路設計實驗室
年度 篇名 期刊等級 期刊名稱 第一作者 共同作者 卷期 起始頁 結束頁 總頁數 語言 相關網址
2022 Realization of a real-time image denoising system for dashboard camera applications SCI IEEE Transactions on Consumer Electronics Chu Yu Li-Zhong Hou vol. 68, no. 2 181 190 10
2015 Area-Efficient 128- to 2048/1536-Point Pipeline FFT Processor for LTE and Mobile WiMAX Systems SCI IEEE Trans. on Very Large Scale Integration Systems Chu Yu Mao-Hsu Yen vol. 23, no. 9 1793 1800 8
2015 Comment on “On Optimal Hyperuniversal and Rearrangeable Switch Box Designs SCI IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems Mao-Hsu Yen Hung-Kuan Yen, and Chu Yu vol. 34, no. 7 1133 1137 4
2015 Flexible and Low-Complexity Bit-Reversal Scheme for Serial-Data FFT Processors SCI Electronics Letters Chu Yu vol. 51, no. 4 328 330 2
2015 Low-power multi-standard Viterbi decoder for wireless communication applications 其他 International Journal of Electronics Letters Chu Yu Bor-Shing Lin, Po-Hsun Cheng, and Yu-Shan Su 13
2015 Two-Mode Reed–Solomon Decoder Using A Simplified Step-by-Step Algorithm SCI IEEE Transactions on Circuits and Systems—II: Express Briefs Chu Yu Yu-Shan Su vol. 62, no. 11 1093 1097 5
2014 Gait Recognition and Walking Exercise Intensity Estimation SCI International Journal of Environmental Research and Public Health Bor-Shing Lin Yu-Ting Liu, Chu Yu, Gene Eu Jan, Bo-Tang Hsiao vol. 11, no.4 3822 3844 23
2014 Incorporation of Perceptually Adaptive QIM with Singular Value Decomposition for Blind Audio Watermarking SCI EURASIP Journal on Advances in Signal Processing Hwai-Tsu Hu Hsien-Hsin Chou, Chu Yu, and Ling-Yuan Hsu 2014:12 12
2013 A Generic Three-Sided Rearrangeable Switching Network for Polygonal FPGA Design EI VLSI Design Mao-Hsu Yen Chu Yu, Horng-Ru Liao, and Chin-Fa Hsieh vol. 2013, Article ID 103473 15
2013 A seamless Ubiquitous Telehealthcare Tunnel SCI International Journal of Environmental Research and Public Health Po-Hsun Cheng Bor-Shing Lin, Chu Yu, Shun-Hsiang Hu, and Sao-Jie Chen vol. 10, no.8, pp. 3246–3262 3246 3262 17
2012 A HMM-WDLT framework for HNM-based voice conversion with parametric adjustment in formant bandwidth, duration and excitation SCI International Journal of Speech Technology Hwai-Tsu Hu Chu Yu vol. 15 215 225 11
2012 A Perceptually Adaptive QIM Scheme for Efficient Watermark Synchronization SCI IEICE Trans. Inf. & Syst. Hwai-Tsu Hu Chu Yu vol. E95-D, no. 12 3097 3100 4
2012 Design and Implementation of a Low-Power OFDM Receiver for Wireless Communications SCI IEEE Trans. on Consumer Electronics, Aug. 2012. Chu Yu Chen-Hen Sung, Chien-Hung Kuo, Mao-Hsu Yen, and Sao-Jie Chen
2011 A Low-Power 64-point Pipeline FFT/IFFT Processor for OFDM Applications SCI IEEE Trans. on Consumer Electronics, Feb. 2011. Chu Yu Mao-Hsu Yen, Pao-Ann Hsiung, and Sao-Jie Chen vol. 57, no. 1 40 45
2011 A three-sided rearrangeable switching network for binary fat tree SCI International Journal of Electronics, 2011. Mao-Hsu Yen Chu Yu, Haw-Yun Shin, and Sao-Jie Chen vol. 98, no. 6 713 734
2010 Low power design of phase-change memory based on a comprehensive model SCI IET Computers & Digital Techniques, 2010. M.-H. Chiang Y.-B. Liao, J.-T. Lin, W.-C. Hsu, Chu Yu*, P.-C. Chiang, Y.-Y. Hsu, W.-H. Liu, S.-S. Sheu, K.-L. Su, M.-J. Kao, M.-J. Tsai vol. 4 , issue 4 285 292
2010 Narrowband-to-wideband expansion of telephony speech using piecewise deviation linear transformation EI International Journal of electrical engineering, 2010. Hwai-Tsu Hu Chu Yu vol. 17, no. 1 7 17
2003 An Efficient Architecture for 2-D Biorthogonal Inverse Discrete Wavelet Transforms IEEE Trans. on Consumer Electronics 游竹 第49卷2期
2003 Design and Implementation of an ASIC architecture for 1.6 kbps Speech Synthesis IEEE Transactions on Consumer Electronics 游竹 胡懷祖、Chen-Yen Lin 第49卷3期
2002 Design of a Low-Cost VLSI architecture for 2-D Biorthogonal Discrete Wavelet Transforms 宜蘭技術學報電機資訊專輯 游竹 第9卷
1999 Design of an efficient VLSI architecture for 2-D discrete wavelet transforms Institute of Electrical and Electronics Engineers Trans on Consumer Electronic 游竹 Sao-Jie Chen 第45卷1期
1999 Realization of Efficient VLSI Architectures for Discrete Wavelet Transforms Tamsui Oxford Journal of Mathematical Sciences 游竹 Chien-An Hsieh and Sao-Jie Chen 第15卷
年度 名稱 研討會名稱 第一作者 共同作者 論文類型 語言 相關網址
2020 Online Social Media Interaction and Offline Protest Movement: Patterns in 2019 Hong Kong Indo-Taiwan Conference on Computing, Analytics and Networks Qingjie Sun Sin-Ye Jhong, Chih-Hsien Hisa, and Chu Yu 一般論文
2019 Peer Group and Hybrid Vector Filter for Removal of Impulse Noise in Color Images IEEE International Conference on Consumer Electronics-Taiwan Chu Yu Chu Yu, Mao-Wen Chen, Jin-Yu Chen, and Jia-Hong Tang 一般論文
2018 Three-Parallel Reed-Solomon Decoder Using a Simplified Step-by-Step Algorithm The IEEE Global Conference on Consumer Electronics Chu Yu Chu Yu, Kuang-Hsiao Lee, Jin-Yu Chen, Mao-Wen Chen, and Yi-An Chen
2017 Low-complexity twiddle factor generator for FFT processors The IEEE Int’l Conference on Consumer Electronics Chu Yu Chu Yu, Kuang-Hsiao Lee, and Chien-Feng Kuo
2017 Lower bit-error-rate polar-LDPC concatenated coding for wireless communication systems The IEEE Global Conference on Consumer Electronics Chu Yu Chu Yu, Zhi-Hong Lin, Ting-Wei Hsu, Mao-Wen Chen, and Yi-An Chen 一般論文
2015 An effective spatial-temporal denoising approach for depth images The IEEE Int’l Conference on Digital Signal Processing Bor-Shing Lin Bor-Shing Lin, Wei-Ren Chou, Chu Yu, Po-Hsun Cheng, Po-Jui Tseng, and Sao-Jie Chen 一般論文
2015 Efficient image denoising scheme for removal of impulse noise The IEEE Global Conference on Consumer Electronics Li-Zhon Hou Li-Zhon Hou, Chien-Feng Kuo, Zhi-Hong Lin, and Chu Yu 一般論文
2015 Variable code length soft-output decoder of polar codes The IEEE Int’l Conference on Digital Signal Processing Jung-Hong Po Jung-Hong Po, Chu Yu, and Sao-Jie Chen 一般論文
2014 Improvement on a block-serial fully-overlapped QC-LDPC decoder for IEEE 802.11n The IEEE Int’l Conference on Consumer Electronics Chu Yu Chu Yu, Ho-Sheng Chuang, Bor-Shing Lin, Po-Hsun Cheng, and Sao-Jie Chen 一般論文
2013 A 128/512/1024/2048-point pipeline FFT/IFFT architecture for mobile WiMAX The 2nd IEEE Global Conference on Consumer Electronics Chu Yu
2013 A dual-code-rate memoryless viterbi decoder for wireless communication systems The IEEE Int’l Conference on Consumer Electronics Chu Yu Chu Yu, Yu-Shan Su, Bor-Shing Lin, Po-Hsun Cheng, and Sao-Jie Chen 一般論文
2013 Advanced wireless switching platform for personal health 35th Annual International IEEE Engineering in Medicine and Biology Society Po-Hsun Cheng Po-Hsun Cheng, Shun-Hsiang Hu, Bor-Shing Lin, Chu Yu, and Sao-Jie Chen 一般論文
2013 Design of a (255, 239) Reed-Solomon decoder using a simplified step-by-step algorithm The IEEE int’l Symposium on Consumer Electronics Yu-Shan Su Yu-Shan Su, Chu Yu, Bor-Shing Lin, Po-Hsun Cheng, and Sao-Jie Chen 一般論文
2013 Gait recognition and walking exercise intensity estimation Asia-Pacific HL7 Conference Yu-Ting Liu Yu-Ting Liu, Bor-Shing Lin, Gene-Eu Jan, Chu Yu, and Bo-Tang Hsiao
2013 Hyper-Universal Switch Network for FPIC Design 2013 Seventh International Conference on Complex, Intelligent, and Software Intensive Systems Mao-Hsu Yen Mao-Hsu Yen, Chu Yu, Yih-Hsia Lin, and Chang-Hsien Chung
2012 A Cognitive Radio Platform for Mobile Healthcare Symposium on Engineering Medicine and Biology Applications & International Workshop on Bio-inspired Systems and Prosthetic Devices Po-Hsun Cheng Po-Hsun Cheng, Bor-Shing Lin, Chu Yu, Sao-Jie Chen 一般論文
2012 A Ubiquitous Scheme for a One-to-many Switching Tunnel for Healthcare Utilization IEEE Int’l Conference on Computational Intelligence, Communication Systems and Networks Po-Hsun Cheng Po-Hsun Cheng, Shun-Hsiang Hu, Yu-Pao Lin, Hsiao-Chi Hsieh, Bor-Shing Lin, Chu Yu, and Sao-Jie Chen
2012 A memoryless Viterbi decoder for LTE systems The 1st IEEE Global Conference on Consumer Electronics Chu Yu Chu Yu, Yu-Shan Su, Bor-Shing Lin, Po-Hsun Cheng, and Sao-Jie Chen
2012 Design of a Low-Power OFDM Baseband Receiver for Wireless Communications The 2012 IEEE Int’l Conference on Consumer Electronics. Chu Yu Chien-Hung Kuo, Cheng-Hang Sung, Mao-Hsu Yen, and Sao-Jie Chen
2012 Seamless Communication of SCTP for Ubiquitous Telecare Symposium on Engineering Medicine and Biology Applications & International Workshop on Bio-inspired Systems and Prosthetic Devices Ren-Hao Wu Ren-Hao Wu, Hsiao-Chi Hsieh, Bor-Shing Lin, Chu Yu, Sao-Jie Chen
2011 A Novel Low-Power 64-point Pipelined FFT/IFFT Processor for OFDM Applications The 2011 IEEE Int'l Conference on Consumer Electronics Chu Yu Yi-Ting Liao, Mao-Hsu Yen, Pao-Ann Hsiung, and Sao-Jie Chen
2011 Low-Power Variable-length Pipeline FFT/IFFT Processor for OFDM-based Communication Systems The 2011 VLSI Design/CAD Symposium Chu Yu Yi-Ting Liao, Chien-Hung Kuo, Mao-Hsu Yen, and Sao-Jie Chen
2010 A Memoryless Viterbi Decoder for OFDM Systems The 2010 VLSI Design/CAD Symposium Chu Yu Chih-Jhen Chen, Mao-Hsu Yen, Pao-Ann Hsiung, and Sao-Jie Chen
2010 ARAL-CR: An Adaptive Reasoning and Learning Cognitive Radio Platform International Symposium on Systems, Architectures, Modeling, and Simulation (SAMOS) S. J. Chen P. A. Hsiung, C. Yu, M. H. Yen, S. Sezer, M. Schulte, and Y. H. Hu
2010 Design of a Low Power Viterbi Decoder for Wireless Communication Applications The 2010 IEEE Int’l Symposium on Consumer Electronics Chih-Jhen Chen Chu Yu, Mao-Hsu Yen, Pao-Ann Hsiung, and Sao-Jie Chen
2010 Implement an SDR Platform by Using GNU Radio and USRP 2010 Conference on Innovative Applications of System Prototyping and Circuit Design, PAL2010 Mao-Hsu Yen Chu Yu, Kuang-Yu Shie, Yu-Hsiang Huang, and Jiun-Liang Lin
2009 Low-Error Fixed-Width Modified Booth Multipliers, the 2009 VLSI Design/CAD Symposium Chu Yu
2005 Design and implementation of an ASIC architecture for the context-based binary arithmetic encoder IEEE int’l Symposium on Consumer Electronics 游竹
2004 A High-Speed ASIC Architecture of EBCOT Encoder for JPEG 2000 The 2004 VLSI Design/CAD Symposium 游竹
2003 Design and implementation of 1.6 kbps speech synthesis The 14th VLSI Design/CAD Symposium 游竹
2003 Design of a low-cost VLSI architecture for 1.6 kbps speech synthesis IEEE int’l Conference on Consumer Electronics 游竹
2002 Design of a low-cost VLSI Architecture for Line-Spectral-Frequency Filters 追求卓越研討會 游竹
2002 Design of an area-efficient VLSI architecture for the arithmetic encoder The 2002 Workshop on Consumer Electronics 游竹
2002 VLSI architecture of a context-based arithmetic encoder for JPEG 2000 13th VLSI Design/CAD Symposium 游竹
2001 VLSI architecture for 2-D inverse biorthogonal discrete wavelet transforms The 12th VLSI Design/CAD Symposium 游竹
2000 A low-cost VLSI architecture for 2-D biorthogonal discrete wavelet transforms IEEE Int’l Symposium on Intelligent Signal Processing and Communication Systems 游竹
2000 Design of a low-cost VLSI architecture for 2-D discrete wavelet transforms The 11th VLSI Design/CAD Symposium 游竹
1999 An improved pyramid algorithm for synthesizing 2-D discrete wavelet transforms Proc. IEEE Workshop Signal Processing Systems 游竹
1999 Efficient VLSI architecture for 2-D inverse discrete wavelet transforms Proc. IEEE Int’l Symposium Circuits and System 游竹
西元年度 計畫名稱 主持人 工作職稱 共同(協同)主持人 委託/補助機構 計畫開始日 計畫結束日 語言 相關網址
2023 影像轉訊息技術研製 游竹 主持人 金泰噴碼科技股份有限公司 2023/12/01 2024/11/30
2021 無人機系統發展對社會之影響與對策─基於兼顧環境安全與安寧下之無人機場域建置與管理 游竹 主持人 鍾智昕 科技部 2021/08/01 2022/07/31
2020 即時目標物檢測系統研製 游竹 主持人 科技部 2020/08/01 2021/07/31
2020 電梯樓層資訊顯示器研發 游竹 主持人 凱宏電梯工業有限公司 2020/05/01 2020/10/31
2019 應用於標籤切割機輪廓產生之硬體電路設計 游竹 主持人 科技部 2019/08/01 2020/07/31
2018 基於USB傳輸之噴墨電路研發 游竹 主持人 傳原股份有限公司 2018/10/01 2019/06/30
2018 科普活動:組一個不會叮人的寵物蜂家族(主題二) 陳怡伶 共同主持人 賴槿峰、游玉祥、游竹 科技部 2018/07/01 2019/06/30
2017 平行式里德-所羅門解碼器晶片設計 游竹 主持人 科技部 2017/08/01 2018/07/31
2017 產學合作計畫—應用於電腦切割機之影像輪廓產生系統研製 游竹 主持人 科技部 傳原股份有限公司 2017/06/01 2018/05/31
2014 高信度醫療控管平台設計—高信度銀髮族醫療控管平台之嵌入式系統研製(2/2) 游竹 主持人 陳少傑.林伯星.鄭伯壎.周賢興. 蘇美如 科技部 2014/09/01 2015/08/31
2013 產學合作-應用事件驅動策略於車用安全監控系統平台之研製 游竹 主持人 行政院國家科學委員會 格瑪數位股份有限公司 2013/11/01 2014/10/31
2013 適用於固態硬碟之LDPC錯誤更正器設計與實現(I) 游竹 主持人 行政院國家科學委員會 2013/08/01 2014/07/31
2013 高信度醫療控管平台設計-高信度銀髮族醫療控管平台之崁入式系統研製(1/2) 游竹 主持人 周賢興、陳少傑、林伯星、周伯壎、蘇美如 行政院國家科學委員會 2013/09/01 2014/08/31
2012 行動醫療感知無線電平台-子計畫四:應用於感知無線電平台之軟體無線電設計(3/3) 游竹 主持人 行政院國家科學委員會 2012/08/01 2013/07/31
2011 子計畫四:應用於感知無線電平台之軟體無線電設計(2/3) 游竹 主持人 行政院國家科學委員會 2011/08/01 2012/07/31
2010 行動醫療感知無線電平台-子計畫四:應用於感知無線電平台之軟體無線電設計(1/3) 游竹 主持人 行政院國家科學委員會 2010/08/01 2011/07/31
專利類別 發表日期 專利名稱 專利證號 專利國別 發明人 專利權人 專利開始日期 專利結束日期 相關網址 說明
發明 2015/03/04 適用於3x2n點之快速傅立葉轉換處理器 I515582 中華民國 游竹 國立宜蘭大學 2016/01/01 2035/03/03
發明 2010/02/05 具低錯誤率固定寬度改良式布斯乘法器之補償方法 I442315 中華民國 游竹 國立宜蘭大學 2014/06/21 2030/02/04